CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ps2 keyboard code

搜索资源列表

  1. verilog-PS2.rar

    0下载:
  2. 在FPGA内,实现PS2键盘数据读取功能,verilog源代码,In the FPGA, achieving PS2 keyboard data read functions, verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2009
    • 提供者:niuqs
  1. PS2KEY.rar

    0下载:
  2. 51单片机模拟PS2键盘KEIL C51程序,单片机采用STC12C系列,代码注释详细,可直接使用。,51 MCU simulation PS2 Keyboard KEIL C51 program, microcontrollers STC12C series, code comment in detail, can be used directly.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:2647
    • 提供者:jay
  1. Libra_ps2key_lcd.rar

    0下载:
  2. 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。,Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:5877
    • 提供者:赵二虎
  1. PS2

    0下载:
  2. 此代码是PS2键盘的Verilog程序,键盘的字符可显示在LCD 1602上,经上板调试程序是可行的-This code is a PS2 keyboard Verilog program, keyboard characters can be displayed on the LCD 1602, after the board debug process is feasible
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:8723
    • 提供者:Evan Xie
  1. PS2-protocal

    0下载:
  2. 文中详细介绍了PS/2接口协议的内容、电气特性和标准键盘的第二套键盘扫描码集。给出了基于嵌入式系统的PS/2接口的软、硬件实现方法,并介绍了工控PC外接双键盘的解决方案。通过使用模拟开关CD4052巧妙地解决了工控PC外接双键盘的冲突问题-This paper details the PS/2 interface protocol content, electrical properties and the second set of standard keyboard keyboard sca
  3. 所属分类:Document

    • 发布日期:2017-03-28
    • 文件大小:115415
    • 提供者:wkr
  1. ps2

    0下载:
  2. 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8153203
    • 提供者:蹇清平
  1. ps2

    1下载:
  2. ps2鼠标/键盘协议详细介绍,中英文对照,内嵌相关源代码-ps2 mouse/keyboard agreement details, bilingual embedded relevant source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-07
    • 文件大小:617103
    • 提供者:林强
  1. 485

    0下载:
  2. 通过89s51实现的模拟键盘代码,模拟ps2协议,可以与计算机相连实现键盘的功能,在keil c下开发的-89s51 achieved through simulated keyboard code, analog ps2 agreement, can be linked to the achievement of the keyboard and computer functions in keil c developed
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:124430
    • 提供者:lzx0000
  1. 1

    0下载:
  2. ps2 keyboard scane code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-27
    • 文件大小:254576
    • 提供者:何轩
  1. keyboard

    0下载:
  2. 嵌入式键盘,wince下可热插拔,at89s52 模拟ps2键盘,第二套扫描码-Embedded keyboard, wince under the hot-swappable, at89s52 simulation ps2 keyboard, the second scan code
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:685171
    • 提供者:尚淮
  1. keyboard-ps2-mouse-protocol

    1下载:
  2. ps2鼠标键盘协议(中文版),可供大家参考,虽然现在USB鼠标键盘比较多,但是给爱好者共享-keyboard ps2 mouse protocol (Chinese version), available for your reference, although it is now more USB mouse keyboard, but for lovers to share
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:617713
    • 提供者:胡萝卜
  1. PS2_command_keyboard

    0下载:
  2. PS2 Keyboard control C language source code
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:25446
    • 提供者:richman
  1. PS2_keyboard_control

    0下载:
  2. PS2 keyboard control C language source code
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4496
    • 提供者:richman
  1. ps2

    0下载:
  2. 用单片机pic读取ps2键盘或鼠标的通码断码或读取鼠标的数据-Single-chip pic with ps2 keyboard or mouse to read the code or pass code off the mouse to read the data
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:1548
    • 提供者:dxeudwcw
  1. LedKeyPS2_DK4

    0下载:
  2. ps2键盘源码,适用于标准ps2小键盘,方便快捷。欢迎下载。-ps2 keyboard source code for a small standard ps2 keyboard, convenient and quick. Welcome to download.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:42809
    • 提供者:ps2
  1. ps2-keyboard

    0下载:
  2. 本程序用于读出PS2键盘的码值并显示在1602显示器上。调试成功。可以移植在51单片机上。-This procedure is used to read out the code value of PS2 keyboard and display monitor in 1602. Debugging success. SCM can be transplanted in 51.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:19401
    • 提供者:liqiang
  1. ps2

    0下载:
  2. 这是一个单片机应用中编写键盘代码时可以对比参考的,PS2接口的键盘对应的按键码,很实用的,有用到得朋友可以看看。-This is a microcontroller application can write code in contrast to the keyboard reference, PS2 keyboard interface, the corresponding key code, very practical and useful to have a friend take a
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:13571
    • 提供者:张坷达
  1. Visio-schemat_blokowy_niezawodno____

    0下载:
  2. ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:38863
    • 提供者:Mat
  1. Kbtestbench

    0下载:
  2. VHDL编写的Keyboard control使用ps2 keboard来使fgpa的led上显示键盘的二进制代码,用4个7seg来显示0-9的数字,该程序包含testbench.-ps2 keyboard controller which could enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2279
    • 提供者:hongwan
  1. keyboard_vhdl

    0下载:
  2. ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-29
    • 文件大小:637669
    • 提供者:arekk
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com